Setting Up a Project with the Precision RTL Synthesis Software

Setting up a project in the Mentor Graphics Precision RTL Synthesis software includes starting the software, setting up a working directory, adding the design source files, assigning a device, and compiling the design. To set up a project in the Precision RTL Synthesis software:

  1. If you have not already done so, creating a design for use with the Precision RTL Synthesis software.
  2. Start the Precision RTL Synthesis software.
  3. To set up a working directory:
    1. Click Set Working Directory in the Design window. The Select the Directory dialog box appears.
    2. Type or browse to select the path to your project directory.
    3. Click OK.
  4. To add design files into the project:
    1. Click Add Input Files in the Design window. The Open dialog box appears.
    2. In the Files of type list, select the type of design file you want to add to the project.
    3. In the File name box, type the name of the design file.
    4. Click OK. The design files appear under the Input Files directory in the Project Files window. The Precision RTL Synthesis software automatically detects the top-level design entity or module, and uses the name of the last file in the list as the name for the generated files ( <file name>_impl_1), log files, reports, and netlist files.
  5. To specify the device family for the project:
    1. Click Setup Design in the Design window. The Project Settings dialog box appears.
    2. Under Technology, expand the Altera folder. Select the appropriate Intel device family.
    3. In the Device list, select the appropriate device.
    4. In the Speed Grade list, select the speed grade.
    5. You can also specify Design Frequency, Default Input Delay, and Default Output Delay in the Project Settings dialog box.
    6. Click OK.
  6. Click Compile in the Design window to create a technology-independent implementation. The Precision RTL Synthesis software compiles the design, and the complete design hierarchy appears in the Design Hierarchy window.
  7. To continue with the Precision RTL Synthesis design flow, assign design constraints with the Precision RTL Synthesis software.