Simulation Model Support

The Quartus® Prime software includes simulation models for Intel FPGA Edition megafunctions, primitives, library of parameterized modules (LPMs), IPFS models, and device family specific models in the <installation path>/eda/sim_lib directory. These models include IEEE encrypted Verilog HDL models for both Verilog HDL and VHDL simulation.

You can use any of the following methods to compile Intel FPGA Edition simulation models:

  • Run the Simulation Library Compiler to compile all required libraries at once.
  • Compile Intel FPGA Edition simulation models manually with your simulator.
Important: The Quartus® Prime software includes precompiled libraries for the ModelSim-Intel FPGA Edition simulator. Do not compile these libraries.