import_partition (::quartus::incremental_compilation)

The following table displays information for the import_partition Tcl command:

Tcl Package and Version

Belongs to ::quartus::incremental_compilation 1.1

Syntax import_partition [-h | -help] [-long_help] -partition <partition name> -qxp <QXP filename> [-create_assignments <create assignments>] [-update_assignments <update assignments>] [-update_logiclock <update logiclock>] [-include_pin_assignments <include pin assignments>] [-promote_assignments <promote assignments>]
Arguments -h | -help Short help
  -long_help Long help with examples and possible return values
-partition <partition name> Partition name
-qxp <QXP filename> QXP fiilename
-create_assignments <create assignments> Create assignments: on|off
-update_assignments <update assignments> Update assignments: replace|skip
-update_logiclock <update logiclock> Update LogicLock region assignments: update|replace|skip
-include_pin_assignments <include pin assignments> Import pin assignments: on|off
-promote_assignments <promote assignments> Promote assignments: on|off
Description
Imports the Partition specified with the -partition option
from a QXP file with the name specified by the -qxp option.

Use the -create_assignments option to control whether assignments
will  be imported from the child project into this project's
QSF file.  The default value is on.

Use the -update_assignments option to control the behavior
when handling conflicting assignments.  
	replace: overwrites the existing assignment
	skip: preserves the current assignment
The default value is replace.

Use the -update_logiclock option to control the behavior
when handling imported LogicLock regions.  LogicLock regions
are represented as a set of assignments that specify the
region size and placement.  When a LogicLock region with the
same name exists in both the QXP being imported and in the 
top project, the following conflict resolution options are
available: 
	replace: this overwrites all the region properties in the
			current project based on the settings in the qxp
	update: this overwrites all the region properties except
			for the LL_ORIGIN based on the settings in the qxp
	skip: this ignores the settings in the qxp
The default value is update.

Use the -import_pin_assignments option to control whether pin 
assignments from the qxp should be added to this project.  The 
default value is off.

Use the -promote_assignments option to control whether assignments
should be promoted during import.  Promotion modifies the assignment
from the qxp to match the instance in the current project.  The
default value is on.
Example Usage
package require ::quartus::incremental_compilation

project_open my_design

import_partition -partition my_partition -qxp top.qxp

project_close
Return Value Code Name Code String Return
  TCL_OK 0 INFO: Operation successful
  TCL_ERROR 1 ERROR: Can't find active revision. Make sure there is an open, active revision name. Use the -revision option of project_open, project_new, or use set_current_revision.
  TCL_ERROR 1 ERROR: No project is currently open. Open an existing project or create a new project.
  TCL_ERROR 1 ERROR: Partition does not exist. Specify a valid partition name in the design.