API Functions for Tcl

Tcl functions are part of the Quartus® Prime Standard Edition software Tcl Application Programming Interface (API) for hdb_debug, mw-regenerate, qacv, qpro, qpro_sh, quartus, quartus_asm, quartus_cdb, quartus_design, quartus_drc, quartus_eda, quartus_fif, quartus_fit, quartus_idb, quartus_ipd, quartus_ipgenerate, quartus_map, quartus_mfc, quartus_pdp, quartus_pow, quartus_rtmw, quartus_sh, quartus_si, quartus_sif, quartus_sim, quartus_sta, quartus_stp, quartus_stp_tcl, quartus_syn, and quartus_tan. You can use these functions as Tcl commands.

The commands are grouped in packages. The following is a list of available Tcl packages:

You can also view the list of available Tcl packages and help for each command by launching the Quartus® Prime Standard Edition Command-Line and Tcl API Help browser from command line or the Quartus Prime Tcl Console, using the command quartus_sh --qhelp.