megafunction Definition

A complex or high-level building block that can be used together with gate and flipflop primitives in Quartus® Prime Standard Edition design files.

Altera provides a library of megafunctions, including functions from the Library of Parameterized Modules (LPM) version 2.2.0, in the \quartus\libraries\megafunctions directory created during installation. AHDL Include Files (.inc) for these megafunctions are also located in the \quartus\libraries\megafunctions directory. VHDL Component Declarations for LPM functions and other megafunctions are provided in the lpm_components package in the lpm library, and the megacore package in the altera library, respectively. Both of these libraries are located in subdirectories of the \quartus\libraries\vhdl directory.

Note: Altera recommends using megafunctions in preference to equivalent user-defined macrofunctions in all projects. Megafunctions are easier to scale to different sizes and may offer more efficient logic synthesis and device implementation.