design library Definition

Stores Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (VHDL) units that have already been compiled. These units can be referenced in VHDL designs. Design libraries can contain one or more of the following units:

The Quartus® Prime Standard Edition software provides the altera, altera_mf, ieee, lpm, std, and wysiwyg libraries. These libraries are located in subdirectories of the \ quartus\libraries\vhdl directory.

Design libraries are specified in VHDL Design Files (.vhd) with Library Clauses. (See "Section 11.2: Design libraries" in the IEEE Std 1076-1993 IEEE Standard VHDL Language Reference Manual for more information.) Because the Quartus® Prime Standard Edition software automatically specifies the current project directory as the work library, you do not need a Library Clause to specify the work library; however, Altera recommends that a VHDL Design File contain one Library Clause for each Use Clause.