ID:281036 The incremental synthesis flow is no longer supported. Please use incremental compilation instead.

CAUSE: Quartus Prime incremental synthesis has been obsoleted by Quartus Prime full incremental compilation, which includes incremental synthesis and incremental place-and-route technology. Altera recommends switching to full incremental compilation because the incremental synthesis flow is not supported by the current version of the Quartus Prime software.

ACTION: Modify your project or script to use full incremental compilation.