ID:35045 Net "<name>" has a missing source

CAUSE: The specified net is connected to a signal or variable declared in VHDL or System Verilog packages but does not have a source or is connected directly to VCC or GND.

ACTION: You must connect the specified net to the output of a logic function.