Optimize Timing logic option

A logic option that optimizes the placement and routing of a design to meet timing requirements.

This option is useful if you are having trouble fitting a design, particularly if the design has timing requirements that are easy to meet.

This option is a project-wide option. This option is available for all Altera devices supported by the Quartus® Prime Standard Edition software except MAX3000 and MAX7000 devices.

Scripting Information

Keyword:optimize_timing

Settings: "Normal compilation" | off

*default