EDA Tool Settings Page (Settings Dialog Box)

You open this dialog box by clicking EDA Tool Settings in the Settings dialog box.

Lists the specific EDA tool you are using to perform design entry/synthesis, simulation, timing analysis, board-level verification, formal verification, or physical synthesis.

You can double-click any of the tools in the list to select options for the tool type.

Tool Type:

The Tool Type list shows the available EDA tool types.

Tool Name:

The Tool Name list shows the specific EDA tool you selected for that tool type.

Format(s):

The Format(s) list shows your choice of the VHDL or Verilog HDL output format.

Run Tool Automatically:

The Run Tool Automatically list shows whether you turned on Run this tool automatically to synthesize the current design for the specific tool.