Cyclone® V FPGA and SoC FPGA
Cyclone® V FPGA has lower total power compared with the previous generation, efficient logic integration capabilities, integrated transceiver variants, and SoC FPGA variants with an ARM*-based hard processor system (HPS). The product family is recommended for Intel Edge-Centric applications and designs.
Choose from the following variants: Cyclone® V E FPGA with logic only, Cyclone® V GX FPGA with 3.125 Gbps transceivers, Cyclone® V GT FPGA with 6.144 Gbps transceivers, Cyclone® V SE SoC FPGA with ARM*-based hard processor system (HPS) and logic, Cyclone® V SX SoC FPGA with ARM*-based HPS and 3.125 Gbps transceivers and Cyclone® V ST SoC FPGA with ARM*-based HPS and 6.144 Gbps transceivers.
See also: FPGA Design Software, Design Store, Downloads, Community, and Support
Cyclone® V FPGA and SoC FPGA
Family Architecture
Cyclone® V Architecture
Cyclone® V FPGAs continue the Intel® Cyclone® device family tradition of an unprecedented combination of low power, high functionality, and low cost. The Cyclone® V FPGA now includes an optional integrated hard processor system (HPS) – consisting of processors, peripherals, and memory controller – with the FPGA fabric using a high-bandwidth interconnect backbone. The combination of the HPS with Intel's 28 nm low-power FPGA fabric provide the performance and ecosystem of an applications-class ARM* processor with the flexibility, low cost, and low power consumption of the Cyclone® V FPGAs.
The Cyclone® V FPGA core architecture comprises the following:
- Up to 300K equivalent logic elements (LEs) arranged as vertical columns of adaptive logic modules (ALMs).
- Up to 12 Mb of embedded memory arranged as 10 Kb (M10K) blocks.
- Up to 1.7 Mb of distributed memory logic array blocks (MLABs).
- Up to 342 variable-precision digital signal processing (DSP) blocks that can implement up to 684 18x18 embedded multipliers.
- Eight fractional clock synthesis phase-locked loops (PLLs).
All of these logic resources are interconnected through a highly flexible clocking network, with over 30 global clock trees and a power-optimized version of Intel's high-performance MultiTrack routing architecture.
Flexible Interface Support
Cyclone® V FPGAs provide flexible interface support with up to 12 5-Gbps transceivers on the left side of the die. The logic and routing core fabric is surrounded by I/O elements and PLLs. Cyclone® V devices have two to eight PLLs. The I/O elements support 840 MHz LVDS and 800 Mbps of external memory bandwidth. These I/O elements provide support for all mainstream differential and single-ended I/O standards including 3.3 V LVTTL at up to 16-mA drive strength.
Abundant Hard IP
Cyclone® V FPGAs include hard intellectual property (IP) blocks, such as an ARM*-based HPS, up to two PCI Express* (PCIe*) hard IP blocks, and up to two hardened multiport memory controllers. The hardened PCIe block supports widths up to four lanes for Gen1 and four lanes for Gen2 applications, and now includes multifunction support. Multifunction support allows up to eight peripherals to share a single PCIe link with individual memory map and control and status registers (CSRs) to simplify software driver development. The hardened multiport memory controller can arbitrate between up to six different masters and offers command and data reordering to maximize the efficiency of your DRAM link.
Design Security
To protect your valuable IP investments, Cyclone® V FPGAs also provide the most comprehensive design protection available in FPGAs, including 256 bit Advanced Encryption Standard (AES) bitstream encryption, JTAG port protection, internal oscillator, zeroization (active clear), and cyclic redundancy check (CRC) features.
Connectivity
Multiport Memory Controller
The multiport memory controller hard intellectual property (IP) block brings a new level of productivity and time-to-market advantage. The advanced features for supporting command and data reordering significantly increases the efficiency of your DRAM interface. The multiport memory controller eases timing closure and reduces I/O count by allowing up to six functions to share a single memory device, therefore saving PCB space and increasing bus efficiency. As a result, you save time, system cost, and power.
The multiport memory controller IP supports the following features:
- User configurable timing parameters set during compilation or during FPGA operation.
- Support for up to 4 Gb memory device per chip select.
- Two chip selects.
- Configurable memory width of 8, 16, 24, 32, and 40 bits.
- Hard error correction code (ECC) support for 16-bit and 32 bit data widths.
- Flexible fabric interface port configuration with up to six command ports and up to 256 bits of data.
- Bonding of two controllers to service higher bandwidth applications by creating a virtual x64 memory.
- DRAM power savings, including auto-refresh and deep power down.
The multiport memory controller consists of two major blocks as shown in Multiport Memory Controller Architecture diagram:
- Multiport front end—handles the arbitration of memory reads and writes between up to six masters.
- PHY—interfaces between the memory controller and the memory devices. Performs the actual read and write operations to and from the external memory.
The multiport front end provides the following arbitration and reordering features:
- Command and data reordering to boost bus efficiency.
- Out-of-order execution of DRAM commands.
- Collision detection and in-order return of results.
- Dynamically configurable priority support with both absolute and relative priority scheduling.
The PHY interface on the multiport memory controller offers the following calibration features for data sequencing and timing control:
- Hardened read FIFO buffer in input register path.
- Dedicated DDR registers in the I/O elements.
- Dynamic deskew delays with 25 ps resolution to optimize the sampling window.
- Skew adjustment circuitry to allow full path calibration from FPGA logic to the memory device on both read and write paths.
- On-chip termination calibration to limit termination impedance variation.
- On-chip dynamic termination to swap between serial and parallel termination for optimal signal integrity.
- DLL delay chain for temperature-compensated DQS phase shifts.
The multiport memory controller hard IP in the Cyclone® V FPGA supports DDR3 SDRAM, DDR2 SDRAM, and LPDDR2 (single-rank support only). The Cyclone® V FPGA also supports the soft memory controllers for the memory interfaces mentioned.
Power
Cyclone® V Power Consumption Compared to Previous-Generation FPGAs
Silicon and Architectural Optimizations
Intel has taken significant steps to reduce power in Cyclone® V FPGAs including use of the 28-nm LP process technology, reduced core voltage, diligent selection of low VT and high VT transistors to reduce static power, lower gate capacitance, power-optimized transceiver architecture, and an increased amount of hardened intellectual property (IP). For example, the new multiport memory controller hard IP block and the PCI Express* hard IP block consume less than 10 percent and 20 percent of their soft logic implementations, respectively. These blocks along with transceiver blocks can be shut down if unused, thus providing a mechanism to further lower your design's total power consumption.
Benefits of Low Power
The combination of increased integration and a low-power Cyclone® V FPGA results in significant system-level benefits for a variety of applications:
Accurate Power Estimation and Analysis
Intel makes power estimation and analysis from design concept through implementation easy, with the most accurate and complete power management design tools in the industry. Intel offers the following power estimation and analysis resources:
- Early power estimators.
- Intel® Quartus® Prime Software power analysis and optimization technology.
- Power Management Resource Center.
When designing, you can use the early power estimator (EPE) during the design concept phase and the power analyzer during the design implementation phase. The EPE is a spreadsheet-based analysis tool that enables early power scoping based on device and package selection, operating conditions, and device utilization. The power models in the EPE are correlated to silicon, ensuring an accurate estimation of your design's power consumption.
The power analyzer is a far more detailed power analysis tool that uses actual design placement and routing, logic configuration, and simulated waveforms to estimate dynamic power very accurately. The power analyzer, in aggregate, provides approximately 10-percent accuracy when used with accurate design information. Intel® Quartus® Prime Software power models are correlated to silicon measurements based on over 5,000 test configurations per circuit.
Throughout the design process the Power Management Resource Center provides useful information regarding power, thermal management, and power supply management.
Intel® Quartus® Prime Software Optimization
Design implementation details can improve performance, minimize area, and reduce power. Historically, the performance and area tradeoffs have been automated within the register transfer level (RTL) through the place-and-route design flow. Intel has taken a leadership position in bringing power optimization into the design flow. Intel® Quartus® Prime Software optimization tools automatically use the Cyclone® V architecture capabilities to reduce power further, resulting in up to 10 percent lower total power consumption when enabled.
The Intel® Quartus® Prime Software optimization has many automatic power optimizations that are transparent to you but provide optimal utilization of FPGA architecture details to minimize power, including:
- Transforming major functional blocks
- Mapping user RAM so they use less power
- Restructuring logic to reduce dynamic power
- Correctly selecting logic inputs to minimize capacitance on high-toggling nets
- Reducing area and wiring demand for core logic to minimize dynamic power in routing
- Modifying placement to reduce clocking power
Cyclone® V SoC Hard Processor System
High-Bandwidth HPS-to-FPGA Interconnect Backbone
Although the HPS and the FPGA can operate independently, they are tightly coupled via a high-bandwidth system interconnect built from high-performance ARM* AMBA AXI bus bridges. IP bus masters in the FPGA fabric have access to HPS bus slaves via the FPGA-to-HPS interconnect. Similarly, HPS bus masters have access to bus slaves in the FPGA fabric via the HPS-to-FPGA bridge. Both bridges are AMBA AXI-3 compliant and support simultaneous read and write transactions. Up to six FPGA masters can share the HPS SDRAM controller with the processor. Additionally, the processor can be used to configure the FPGA fabric under program control via a dedicated 32 bit configuration port.
- HPS-to-FPGA: Configurable 32, 64, or 128 bit AMBA AXI interface.
- FPGA-to-HPS: Configurable 32, 64, or 128 bit AMBA AXI interface.
- FPGA-to-HPS SDRAM controller: Up to 6 masters (command ports), 4x 64 bit read data ports and 4x 64 bit write data ports.
- 32 bit FPGA configuration manager.
HPS Features
925 MHz, dual-core ARM* Cortex-A9 MPCore processor. Each processor core includes:
- 32 KB of L1 instruction cache, 32 KB of L1 data cache
- Single- and double-precision floating-point unit and NEON* media engine
- CoreSight* debug and trace technology
- 512 KB of shared L2 cache
- 64 KB of scratch RAM
- Multiport SDRAM controller with support for DDR2, DDR3, and LPDDR2 and optional error correction code (ECC) support
- 8-channel direct memory access (DMA) controller
- QSPI flash controller
- NAND flash controller with DMA
- SD/SDIO/MMC controller with DMA
- 2x 10/100/1000 Ethernet media access control (MAC) with DMA
- 2x USB On-the-Go (OTG) controller with DMA
- 4x I2C controller
- 2x UART
- 2x serial peripheral interface (SPI) master peripherals, 2x SPI slave peripherals
- Up to 134 general-purpose I/O (GPIO)
- 7x general-purpose timers
- 4x watchdog timers
Cyclone® V GX FPGAs: Transceiver Overview
Not all low-cost transceivers are created equally. Intel's Cyclone® V FPGA family has a flexibility that helps you fully utilize all available transceiver resources and keep designs in a smaller and lower cost device. The Cyclone® V FPGAs provide the most flexibility in implementing independent protocols, implementing proprietary protocols with hardened building block, all at the lowest power possible.
By providing the market's lowest cost, lowest power FPGAs, Intel's Cyclone® V FPGA family extends the Cyclone® FPGA series. Intel's transceiver leadership is re-affirmed with actual shipment of working transceiver I/O within an FPGA design. Watch the video below to see Cyclone® V FPGAs in action.
The Cyclone® V FPGA series offers two variants to meet your design needs, the Cyclone® V GX FPGAs with transceivers up to 3.125 G and Cyclone® V GT FPGAs with transceivers up to 6.144 G.
Key Transceiver Features
- Up to twelve transceivers supporting data rates from 600 Mbps to 3.125 Gbps or 6.144 Gbps.
- Flexible and easy-to-configure transceiver datapath to implement industry-standard and proprietary protocols.
- Programmable pre-emphasis settings and adjustable differential output voltage (VOD) for improved signal integrity (SI).
- User-controlled receiver equalization to compensate for frequency-dependent losses in the physical medium.
- Dynamic reconfiguration of the transceiver to support multiple protocols and data rates on the same channel without reprogramming the FPGA.
- Support for protocol features such as spread-spectrum clocking in PCI Express* (PCIe*), Common Public Radio Interface (CPRI), DisplayPort, V-by-One, and SATA configurations.
- Dedicated circuitry compliant with the physical interface for PCIe* , XAUI, and Gbps Ethernet (GbE).
- PIPE interface that connects directly to embedded PCIe* Gen1 (2.5 Gbps) and Gen2 (5 Gbps) hard intellectual property (IP) to support PCI-SIG* compliant x1, x2, or x4 endpoint or root port applications.
- Built-in byte ordering so that a frame or packet always starts in a known byte lane.
- 8B/10B encoder and decoder that performs 8 bit to 10 bit encoding and 10 bit to 8 bit decoding.
- On-die power supply regulators for transmitter and receiver phase-locked loop (PLL) charge pump and voltage controlled oscillator (VCO) for superior noise immunity.
- On-chip power supply decoupling to satisfy transient current requirements at higher frequencies, which reduces the need for on-board decoupling capacitors.
- Diagnostic features such as serial loopback, parallel loopback, reverse serial loopback, and loopback master and slave capability in the PCI-SIG* compliant PCIe* hard IP block.
PCS block diagram shows the Cyclone® V FPGA transceivers, both physical medium attachment (PMA) and physical coding sublayer (PCS). The blocks within the PCS can be bypassed, depending on your requirements.
Additional Resources
Explore more content related to Intel® FPGA devices such as development boards, intellectual property, support and more.

Support Resources
Resource center for training, documentation, downloads, tools and support options.

Development Boards
Get started with our FPGA and accelerate your time-to-market with Intel-validated hardware and designs.

Intellectual Property
Shorten your design cycle with a broad portfolio of Intel-validated IP cores and reference designs.

FPGA Design Software
Explore Quartus Prime Software and our suite of productivity-enhancing tools to help you rapidly complete your hardware and software designs.

Contact Sales
Get in touch with sales for your Intel® FPGA product design and acceleration needs.

Where to Buy
Contact an Intel® Authorized Distributor today.