Intel® Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 12/04/2023
Public
Document Table of Contents

3.5. Sourcing Synopsys VCS* Simulator Setup Scripts

Follow these steps to incorporate the generated Synopsys VCS* simulation scripts into a top-level project simulation script.
  1. The generated simulation script contains these template lines. Cut and paste the lines preceding the “helper file” into a new executable file. For example, synopsys_vcs.f.
    # # Start of template
    # # If the copied and modified template file is "vcs_sim.sh", run it
    # # as: ./vcs_sim.sh
    # # 
    # # Override the top-level name
    # # specify a command file containing elaboration options
    # # (system verilog extension, and compile the top-level).
    # # Override the sim options, so the simulation
    # # runs forever (until $finish()).
    # source vcs_setup.sh
    # TOP_LEVEL_NAME=top
    # USER_DEFINED_ELAB_OPTIONS="'-f ../../../synopsys_vcs.f'"
    # USER_DEFINED_SIM_OPTIONS=""
    # 
    # # helper file: synopsys_vcs.f
    # +systemverilogext+.sv
    # ../../../top.sv
    # # End of template
  2. Delete the first two characters of each line (comment and space) for the vcs.sh file, as shown below:
    # Start of template
    # If the copied and modified template file is "vcs_sim.sh", run it
    # as: ./vcs_sim.sh
    # 
    # Override the top-level name
    # specify a command file containing elaboration options
    # (system verilog extension, and compile the top-level).
    # Override the sim options, so the simulation
    # runs forever (until $finish()).
    source vcs_setup.sh
    TOP_LEVEL_NAME=top
    USER_DEFINED_ELAB_OPTIONS="'-f ../../../synopsys_vcs.f'"
    USER_DEFINED_SIM_OPTIONS=""
  3. Delete the first two characters of each line (comment and space) for the synopsys_vcs.f file, as shown below:
    # helper file: synopsys_vcs.f
     +systemverilogext+.sv
     ../../../top.sv
    # End of template
  4. Modify the TOP_LEVEL_NAME and compilation step appropriately, depending on the simulation’s top-level file. For example:
    TOP_LEVEL_NAME=sim_top
  5. If necessary, add the QSYS_SIMDIR variable to point to the location of the generated IP simulation files. Specify any other changes required to match your design simulation requirements. The scripts offer variables to set compilation or simulation options. Refer to the generated script for details.
  6. Run the resulting top-level script from the generated simulation directory by specifying the path to vcs_sim.sh.