文章 ID: 000082807 内容类型: 错误讯息 上次审核日期: 2021 年 08 月 28 日

内部错误:子系统:OPT,文件:/quartus/synth/opt/opt_ram_resource_aware_st.cpp,行:8248

环境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
说明

如果您的 HDL 代码推断出真正的双端口同步 RAM,则 Quartus® II 软件版本 9.1 中可能会发生此错误,但是其中一个输出数据端口在您的设计中未连接。

要解决此错误,请将未连接的输出数据端口连接到 HDL 代码中的寄存器。

请注意,如果这些寄存器没有风扇,您可以使用 noprune 合成属性或 Preserve Fan-out Free Register Node 逻辑选项来防止在合成过程中移除寄存器。有关此属性或逻辑选项的详细信息,请参阅 Quartus II 集成合成章节。

此问题计划在 Quartus II 软件的未来版本中解决。

相关产品

本文适用于 1 产品

英特尔® 可编程设备

本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。