ID de l'article: 000077772 Type de contenu: Messages d'erreur Dernière révision: 27/08/2013

Avertissement (12010) : le port « rx_dpll_hold » de l’instanciation de l’entité de « ALTLVDS_RX_component » est connecté à un signal de largeur 1. La largeur officielle du signal dans le module est <number_of_channels>. Les bits supplémenta...

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    La ALTLVDS_RX mégafunction dans la version 10.0 du logiciel Quartus® II ne crée pas correctement le nombre de ports d’entrée requis pour rx_dpll_hold.  Ce port doit avoir une largeur égale au nombre de canaux.

    Pour contourner ce problème, ouvrez le fichier de variation HDL du ALTLVDS_RX mégafunction dans votre conception et modifiez manuellement la largeur de port de rx_dpll_hold.

    La largeur de port doit suivre le format de [number_of_channels-1:0].

    Résolution

    Ce problème est résolu dans la version 10.1 du logiciel Quartus II.

    Produits associés

    Cet article concerne 5 produits

    FPGA Stratix® IV E
    FPGA Stratix® II GX
    FPGA Stratix® II GT
    FPGA Stratix® III
    FPGA Arria® II GX

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.