INTEL DOES NOT WARRANT THAT THE FUNCTIONS CONTAINED IN THIS PATCH WILL MEET YOUR REQUIREMENTS, OR THAT THE OPERATION OF THIS PATCH WILL BE UNINTERRUPTED OR ERROR-FREE. //**************************************************************** quartus-20.3-0.02-readme.txt Readme file for Intel(R) Quartus(R) Prime 20.3 Patch 0.02 Copyright (C) Intel Corporation 2019 All right reserved. Patch created on Oct. 7, 2020, 2:47 a.m. Patch Case#: 22011492244 Patches included: Patches conflicted: //**************************************************************** Description: This patch fixes an issue that could cause hardware failures when your design uses a range of a signal inside a generate loop, if that signal is declared inside a generate block. Caution - You must either have previously installed the Intel(R) Quartus(R) Prime 20.3 software or must install the Intel(R) Quartus(R) Prime 20.3 software before installing this patch. Otherwise, the patch will not be installed correctly and the Intel(R) Quartus(R) Prime software will not run properly. Note: - means this patch includes those patches. If you already installed those patches, you can safely install this new patch on top. - means this patch conflicts with those patches. If you have any of those patches installed, don't install this patch. Contact Intel for further support.